Commit Graph

118 Commits

Author SHA1 Message Date
Thomas Watson
65b289f68a yosys: 0.37 -> 0.38
Also fix test issues on macOS.
2024-02-10 15:59:39 -06:00
Henner Zeller
4fba3d0fa5 yosys-synlig: fix compilation with Yosys 0.37
Discussed in
https://github.com/NixOS/nixpkgs/pull/281384

This is filed as upstream issue
https://github.com/chipsalliance/synlig/issues/2299

... and addressed in this pull request
https://github.com/chipsalliance/synlig/pull/2300

Patched into this nix package.

Co-authored-by: Luflosi <luflosi@luflosi.de>
2024-01-27 09:17:18 -08:00
Luflosi
46692a1507 yosys: 0.36 -> 0.37
https://github.com/YosysHQ/yosys/releases/tag/yosys-0.37

The change to the patch is needed to fix this error on macOS:
```
+ clang -std=c++11 -O2 -o cxxrtl-test-value -I../../backends/cxxrtl/runtime test_value.cc -lstdc++
test_value.cc:1:10: fatal error: 'cassert' file not found
         ^~~~~~~~~
1 error generated.
make: *** [Makefile:891: test] Error 1
```
2024-01-20 11:26:38 -06:00
Martin Povišer
1b72831944 yosys: propagate build inputs as need be for plugins 2023-12-10 11:41:14 -06:00
Luflosi
d23af28aa7 yosys: 0.35 -> 0.36
https://github.com/YosysHQ/yosys/releases/tag/yosys-0.36
2023-12-06 08:55:21 -06:00
Martin Povišer
e61cf890d6 yosys: make building with Python binding the default 2023-12-02 23:02:08 -06:00
Martin Povišer
e55ea6167f yosys: add option to build with Python binding 2023-12-02 23:02:08 -06:00
Henner Zeller
897387bb27 yosys-synlig: 2023-10-26 -> 2023-11-28 2023-11-29 13:18:33 -06:00
Luflosi
46478168d2
yosys: 0.34 -> 0.35
https://github.com/YosysHQ/yosys/releases/tag/yosys-0.35
2023-11-08 15:21:36 +01:00
Luflosi
e947b23190
yosys: use finalAttrs 2023-11-08 15:21:34 +01:00
Luflosi
e24783fe6f
yosys: add Luflosi as maintainer 2023-11-08 15:21:33 +01:00
Henner Zeller
13687487b9 synlig: 2023.10.12 -> 2023-10-26 2023-10-27 07:36:13 -05:00
Henner Zeller
d3ae415ae2 yosys-synlig: init at 2023.10.12 2023-10-16 10:15:08 -05:00
Henner Zeller
dbfeae15ca yosys-symbiflow: 1.20230808 -> 1.20230906 2023-10-15 05:39:34 -05:00
Luflosi
de17c08f9d yosys: 0.33 -> 0.34
https://github.com/YosysHQ/yosys/releases/tag/yosys-0.34
2023-10-07 11:52:04 -05:00
Luflosi
b82d963e7b
yosys: 0.32 -> 0.33
https://github.com/YosysHQ/yosys/releases/tag/yosys-0.33

A patch needed to be adapted to fix this error on macOS:
```
+ clang -std=c++11 -o yosys-always_full -I../.. always_full_tb.cc -lstdc++
In file included from always_full_tb.cc:1:
In file included from ./yosys-always_full.cc:1:
../../backends/cxxrtl/cxxrtl.h:29:10: fatal error: 'cstddef' file not found
#include <cstddef>
         ^~~~~~~~~
1 error generated.
make: *** [Makefile:885: test] Error 1
```
2023-09-12 16:25:21 +02:00
Henner Zeller
8c603d5a8c yosys-symbiflow: 1.20230425 -> 1.20230808 2023-09-04 09:03:32 -05:00
Luflosi
c9d964f257
yosys: 0.31 -> 0.32
https://github.com/YosysHQ/yosys/releases/tag/yosys-0.32
2023-08-07 10:31:22 +02:00
Luflosi
ead2ae782e
yosys: 0.30 -> 0.31
https://github.com/YosysHQ/yosys/releases/tag/yosys-0.31
2023-07-18 21:39:17 +02:00
Psentee
344daa4cb8 yosys: 0.29 -> 0.30 2023-06-08 18:22:13 +03:00
Luflosi
122ba37e0c
yosys: 0.28 -> 0.29
https://github.com/YosysHQ/yosys/releases/tag/yosys-0.29
2023-05-10 15:45:25 +02:00
Jack Leightcap
3a31db0fe1
yosys: 0.27 -> 0.28 https://github.com/YosysHQ/yosys/releases/tag/yosys-0.28
Signed-off-by: Jack Leightcap <jack@leightcap.com>
2023-05-06 17:03:40 -04:00
Henner Zeller
123b92da6c yosys-symbiflow: 2023.02.08 -> 1.20230425
The plugin now has a tagged version that is based on the date.
Previously, we had to use a random commit and manually choose the
relevant date. Now, we use the official tag as a version here
and to fetch from git.

While at it: fix the tests - somewhere over the course of the
lasts year, the tests stopped being run. Fixed now.
2023-04-26 01:47:32 -05:00
Adam Joseph
9aa3e69c99 yosys/plugins/ghdl.nix: fix build
Since 12cc34ce2dd2f all yosys plugins are expected to have a
`plugin` attribute; without it they will not build.  This commit
adds one for yosys-ghdl.
2023-04-16 17:47:18 -07:00
Luflosi
ccd250410e yosys: 0.26 -> 0.27
https://github.com/YosysHQ/yosys/releases/tag/yosys-0.27
2023-03-12 18:10:19 -05:00
Luflosi
ee1ea62bd3
yosys: 0.25 -> 0.26
https://github.com/YosysHQ/yosys/releases/tag/yosys-0.26
2023-02-22 02:04:56 +01:00
Austin Seipp
241586918d yosys-symbiflow: 2022.11.07 -> 2023.02.08
Signed-off-by: Austin Seipp <aseipp@pobox.com>
2023-02-09 18:43:13 -06:00
Guillaume Girol
33afbf39f6 treewide: switch to nativeCheckInputs
checkInputs used to be added to nativeBuildInputs. Now we have
nativeCheckInputs to do that instead. Doing this treewide change allows
to keep hashes identical to before the introduction of
nativeCheckInputs.
2023-01-21 12:00:00 +00:00
Luflosi
23ba1ad053
yosys: 0.24 -> 0.25
https://github.com/YosysHQ/yosys/releases/tag/yosys-0.25
2023-01-06 18:07:06 +01:00
Luflosi
8814e204ef
yosys: 0.23 -> 0.24
https://github.com/YosysHQ/yosys/releases/tag/yosys-0.24
2022-12-06 18:58:32 +01:00
Luflosi
a6151294db yosys: 0.22 -> 0.23
https://github.com/YosysHQ/yosys/releases/tag/yosys-0.23
The protobuf backend was removed in https://github.com/YosysHQ/yosys/pull/3508.
2022-11-16 01:35:08 -06:00
Henner Zeller
ec912ab1dd yosys-symbiflow: 2022.09.27 -> 2022.11.07
Update plugins to be compatible with latest surelog/uhdm (1.45).
Also fix expected googletest path so that it comes from the
nix environment, not third_party/.

Signed-off-by: Henner Zeller <h.zeller@acm.org>
2022-11-08 12:47:27 -08:00
Valentin Gagarin
b02d74fb5e
Merge pull request #173225 from matthuszagh/uhdm
Add systemverilog plugin to yosys
2022-11-04 18:37:13 +01:00
Luflosi
9693a9a2e8 yosys: 0.20 -> 0.22
https://github.com/YosysHQ/yosys/releases/tag/yosys-0.22
2022-10-14 19:27:01 -05:00
Doron Behar
9b16f08a95 yosys-ghdl: 2021.01.25 -> 2022.01.11 2022-10-08 14:53:52 +03:00
Doron Behar
cd3fc9ea27 yosys-ghdl: Formatting - new lines between inputs and arguments 2022-10-08 14:53:52 +03:00
Matt Huszagh
c526f70d1b symbiflow: update to 2022.09.27 2022-09-27 18:04:13 -07:00
Artturin
c6568adb00 treewide: makeWrapper buildInputs to nativeBuildInputs
most found with https://github.com/siraben/nix-lint
2022-08-16 13:14:19 +03:00
Austin Seipp
5b93ac113c yosys: 0.18 -> 0.20
Includes necessary `abc` update as well.

Signed-off-by: Austin Seipp <aseipp@pobox.com>
2022-08-12 13:23:18 -05:00
Luflosi
118e07917e
yosys: 0.17 -> 0.18
https://github.com/YosysHQ/yosys/releases/tag/yosys-0.18
2022-06-20 10:40:20 +02:00
Luflosi
d1db18bc69
yosys: 0.16 -> 0.17
https://github.com/YosysHQ/yosys/releases/tag/yosys-0.17
2022-06-20 10:40:19 +02:00
Matt Huszagh
5d0452a9ae symbiflow: add systemverilog plugin 2022-05-15 21:35:13 -07:00
Luflosi
338ce3ed6a yosys: 0.15 -> 0.16
https://github.com/YosysHQ/yosys/releases/tag/yosys-0.16
2022-04-12 08:38:25 -05:00
Luflosi
9488c22d58
yosys: 0.12+54 -> 0.15
https://github.com/YosysHQ/yosys/releases/tag/yosys-0.15
2022-03-08 18:28:13 +01:00
Luflosi
b255f6d60c
yosys: fix homepage
The old link was now pointing at a casino website.
2022-03-08 18:28:12 +01:00
OllieB
12cc34ce2d yosys: Add yosys-symbiflow-plugins 2022-01-08 14:48:28 +00:00
Austin Seipp
8efa46a893 yosys: 0.12+36 -> 0.12.54, with yosys-bluespec update
Signed-off-by: Austin Seipp <aseipp@pobox.com>
2022-01-06 09:19:29 -06:00
Austin Seipp
1c9532ae6d yosys: 0.11+52 -> 0.12+36
Signed-off-by: Austin Seipp <aseipp@pobox.com>
2021-12-17 03:50:48 -06:00
Austin Seipp
a79ca33d94 yosys: 0.10+1 -> 0.11+52, with abc update
Signed-off-by: Austin Seipp <aseipp@pobox.com>
2021-12-02 21:48:51 -06:00
Martin Povišer
b50d43cc94 yosys: remove pointless patching
This fixes the patch introduced in:

  94a047ca74cb (yosys: fix build on darwin, 2021-11-09)

Because we supply yosys with an external build of ABC, the patched
ABCMKARGS variable is without influence. Even if we were building with
in-tree ABC, that part of the patch is wrong, so drop it altogether.
2021-11-10 14:25:59 +01:00